Delta Sigma Decoder (DSD)

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
lock attach
Attachments are accessible only for community members.
User6412
Level 4
Level 4
Hi,

the project with DSD I wrote uses DSDIF003 App. My hardware is Infineon Hexagon CPU-board and extention board. The necessary signals (data-stream and clock) are connected and checked with osci. The interrupt is made with help of NVIC002 App. Result: there are no interrupt at all and the result of DSD is ever zero. What can be wrong hier in a such a simple project? May be the used chip XMC4500F144K1024 AA13 has defect DSD modul?
Where can I download the example project wich uses DSD?
See attachment with my project.

Update:

i changed the direction of the DSD clock signal to "External Modulator Provides Clock" and produced the clock signal by means of PWM (ccu4). It works! Now it looks like the microcontroller has a bug or defect DSD (i have tried 2 DSD channels from 4), but there is no info about it in errata.
0 Likes
3 Replies
User1
Level 1
Level 1
checking attachment
0 Likes
lock attach
Attachments are accessible only for community members.
Eric1
Employee
Employee
Hallo Mr. Podebedov,

Thank you for your input. I tried to import your project, but the App connection wasn't displayed.
Do you use the export functionality from DAVE?

Nevertheless I build a similar example to test the behavior (Based on the official DSDIF003_Example1). I don't have an external modulator so I use the Carrier Generator in reverse mode to generate a Bit stream (sine wave).
Carrier Generator output is P1.0 and P5.1. So I connect P5.1 to the DSD input P1.6 via external wire.
And the clock is provided by the DSD on P1.7.

To see the result I use the DAC with the output on P14.9.

When you connect the UNI_EXT01 to the ACT from the Hexagon Board you have following configurations:

P1.0 PWMN PIN 9
P1.7 CLK PIN 13
P1.6 DSD_IN PIN 14
P5.1 PWMP PIN 11
P14.9 DAC_Out PIN 49

The interrupt is working and you can see the right values on the DAC.
I hope this example help you to solve your problem.

Regards
Eric
0 Likes
User6412
Level 4
Level 4
Hallo Eric,

thank you for this nice example. I have got the sinus signal on the DAC output as expected (see attachment image from osci).
114.attach
But the problem is, you have set the external modulator frequency to 10 MHz. I changed it to desired 20 MHz and it dosen't works any more but still generates the clock output!!! Even with 15 MHz it dosen't! Moreover, the Carrier Generator produces nothing more.
113.attach
0 Likes