how to use look up table

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
lock attach
Attachments are accessible only for community members.
Anonymous
Not applicable

Hi PSoC community,

   

I am a PSoC beginner and i am currently learning the ropes of PSoC and the Creator software.

   

I am a student and as some first steps i tried to get a sine wave out of my PSoC 3. I work with Creator 2.0 and used the ´Sine
Wave Generator with DAC´ document. The steps are well explained but I have no idea how to import or create the look up table for the values for the sine wave.

   

I searched the cypress page and other sources but got no idea, so now I am counting on you.

   

Thank you for your comments.

   

StudentA

0 Likes
4 Replies
Bob_Marlowe
Level 10
Level 10
First like given 50 questions asked 10 questions asked

Welcome in the forum and in the fascinating world of PSoCs.

   

The LUT component for PSoC3/4 and 5 is like a PLD: you specify how many inputs and outputs you want to have and then you provide the bit-pattern for each input-combination to appear at the outputs. Have a look at the datasheet in your preferred language.

   

When you already installed Cypress Creator (3.2 is latest version) you may right-click on the component and see the datasheet directly.

   

 

   

Happy coding

   

Bob

0 Likes
Bob_Marlowe
Level 10
Level 10
First like given 50 questions asked 10 questions asked

I just realize that you are using Creator 2 which is a rather outdated version. When you use that only because your PSoC3 chip is not supported by the newer versions (3.2 is the actual one) I would strongly suggest you to upgrade your hardware, preferrably to a PSoC5 version (same price). When you cannot spend that much money (Your name shows you're a student) another choice would be to get hands on a PSoC4-M Pioneer kit which is a bit reduced in price and of course in capabilities, too (no display).

   

 

   

Bob

0 Likes
Anonymous
Not applicable

 Hello Bob,

   

thank you for your quick answer. I think I will upgrade my hardware. This should be the best way, because than I can use the wavedac8 component which is able to create sine waves without any additional work of mine.

   

StudentA

0 Likes
ETRO_SSN583
Level 9
Level 9
250 likes received 100 sign-ins 5 likes given

It is not the LUT component being used in wavedac, it is a look up table, array,

   

of sample values for the sine, or whatever wavefom you will be using.

   

 

   

In the example project that table is already defined in code and filled with values.

   

 

   

Regards, Dana.

0 Likes