Instanciation

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
Anonymous
Not applicable

Can i use any Component in my verilog code by instantiating it?

0 Likes
6 Replies
HeLi_263931
Level 8
Level 8
100 solutions authored 50 solutions authored 25 solutions authored

I think you can only instantiate component which are defined in Verilog themselves. This should everything defined as 'primitive'.

0 Likes
Anonymous
Not applicable

So, I can instantiate only components with .v file, right?

0 Likes
HeLi_263931
Level 8
Level 8
100 solutions authored 50 solutions authored 25 solutions authored

Yes.

0 Likes
Anonymous
Not applicable

Please, help me with this. I'm trying to instantiate "cy_dffe_v1_0" just for a example and i'm getting this error:

   

 

   

"M0120:Can't find 'cy_dffe_v1_0" in library 'work' with path 'lcpsoc3'.

0 Likes
HeLi_263931
Level 8
Level 8
100 solutions authored 50 solutions authored 25 solutions authored

the DFFs are defined in rtl.v, as

   
module cy_dff (d, clk, q);
   

So maybe you want to include that one? (The definition of cy_dffe_v1_0 is empty, if you look at the file...)

0 Likes
Anonymous
Not applicable

That's true. 

   

Thank you for your help.

0 Likes